òrdugh_bg

bathar

Stoc spot ùr XQR17V16CC44V tùsail FPGA Raon-rèile prògramaichte Geata Array Logic Ic Chip Amalaichte Cuairtean

tuairisgeul goirid:


Mion-fhiosrachadh toraidh

Bathar Tags

Sònrachaidhean  
Roinn-seòrsa cuimhne PROM
Dùmhlachd 16777 kbps
Aireamh Facal 2000 k
Pìosan airson Word 8 buillean
Seòrsa Pacaid CERAMIC, LCC-44
prìneachan 44
Teaghlach loidsig CMOS
Voltage solair 3.3V
Teòthachd Obrachaidh -55 gu 125 C (-67 gu 257 F)

Tha Xilinx a’ toirt a-steach an t-sreath àrd-dùmhlachd QPro ™ XQR17V16 sreath PROMan rèiteachaidh QML Radiation Hardened a bheir seachad dòigh a tha furasta a chleachdadh, cosg-èifeachdach airson sruthan beaga rèiteachaidh Xilinx FPGA a stòradh.Tha an XQR17V16CC44V na inneal 3.3V le comas stòraidh de 16 Mb agus faodaidh e obrachadh ann am modh sreathach no byte-farsaing.airson diagram bloca nas sìmplidhe de ailtireachd inneal XQR17V16.

Nuair a tha am FPGA ann am modh Master Serial, bidh e a’ gineadh gleoc rèiteachaidh a bhios a’ stiùireadh am PROM.Ùine inntrigidh goirid às deidh oir a ’ghleoc ag èirigh, nochdaidh dàta air prìne toraidh PROM DATA a tha ceangailte ri prìne FPGA DIN.Bidh an FPGA a’ gineadh an àireamh iomchaidh de bhuillean cloc gus an rèiteachadh a chrìochnachadh.Aon uair ‘s gu bheil e air a rèiteachadh, cuiridh e à comas am PROM.Nuair a tha am FPGA ann am modh Sreath Tràillealachd, feumaidh am PROM agus an FPGA an dà chuid a bhith air an gleusadh le comharra a tha a’ tighinn a-steach.

Nuair a tha am FPGA ann am modh Master SelectMAP, bidh e a’ gineadh a’ ghleoc rèiteachaidh a bhios a’ draibheadh ​​am PROM agus an FPGA.Às deidh an oir CCLK ag èirigh, tha dàta ri fhaighinn air prìneachan PROMs DATA (D0-D7).Thèid an dàta a ghleusadh a-steach don FPGA air an oir àrdachaidh a leanas den CCLK.Nuair a tha am FPGA ann am modh Slave SelectMAP, feumaidh an PROM agus an FPGA an dà chuid a bhith air an gleusadh le comharra a tha a’ tighinn a-steach.Faodar oscillator freerunning a chleachdadh gus an CCLK a dhràibheadh.Faodar ioma-innealan a cho-chruinneachadh le bhith a’ cleachdadh toradh an Ceannard gus cuir a-steach CE den inneal a leanas a dhràibheadh.Tha cuir a-steach a’ ghleoc agus toraidhean DATA a h-uile PROM san t-sreath seo eadar-cheangailte.Tha na h-innealan uile co-chòrdail agus faodar an sgaoileadh le buill eile den teaghlach.Airson prògramadh innealan, bidh an dàrna cuid am bathar-bog Xilinx ISE Foundation no ISE WebPACK a’ cur ri chèile am faidhle dealbhaidh FPGA gu cruth àbhaisteach Hex, a thèid an uairsin a ghluasad chun mhòr-chuid de phrògraman malairteach PROM.

Feartan
• Immune Latch-Up gu LET> 120 MeV/cm2/mg
• TID barantaichte de 50 kRad(Si) gach spec 1019.5
• Air a dhèanamh air substrate epitaxial
• Comas stòraidh 16Mbit
• Obrachadh barantaichte thairis air raon teòthachd iomlan an airm: –55°C gu +125°C
• Cuimhne leughaidh a-mhàin a ghabhas prògramadh aon-ùine (OTP) air a dhealbhadh gus sruthan rèiteachaidh de dh’ innealan Xilinx FPGA a stòradh
• Modhan rèiteachaidh dùbailte
♦ Rèiteachadh sreathach (suas gu 33 Mb / s)
♦ Co-shìnte (suas gu 264 Mb/s aig 33 MHz)
• Eadar-aghaidh sìmplidh ris na FPGAn Xilinx QPro
• Cascadable airson stòradh bitstreams nas fhaide no iomadach
• Prògramaichte ath-shuidheachadh polarity (gnìomhach Àrd no gnìomhach Ìosal) airson co-chòrdalachd le diofar FPGA fuasglaidhean
• Pròiseas geata-fleòdraidh CMOS le cumhachd ìosal
• bholtaids solair 3.3V
• Ri fhaighinn ann am pasganan ceirmeag CK44(1)
• Taic prògramadh le prìomh luchd-dèanamh phrògraman
• Dealbhadh taic a’ cleachdadh pasganan bathar-bog ISE Foundation no ISE WebPACK
• Glèidheadh ​​dàta beatha 20 bliadhna cinnteach
Prògramadh
Faodar na h-innealan a phrògramadh air prògramadairean air an toirt seachad le Xilinx no luchd-reic treas-phàrtaidh le teisteanas.Feumaidh an neach-cleachdaidh dèanamh cinnteach gu bheilear a’ cleachdadh an algairim prògramaidh iomchaidh agus an dreach as ùire de bhathar-bog a’ phrogramaiche.Faodaidh an roghainn ceàrr an inneal a mhilleadh gu maireannach.
Tuairisgeul
• Immune Latch-Up gu LET> 120 MeV/cm2/mg
• TID barantaichte de 50 kRad(Si) gach spec 1019.5
• Air a dhèanamh air substrate epitaxial
• Comas stòraidh 16Mbit
• Obrachadh barantaichte thairis air raon teòthachd iomlan an airm: –55°C gu +125°C
• Cuimhne leughaidh a-mhàin a ghabhas prògramadh aon-ùine (OTP) air a dhealbhadh gus sruthan rèiteachaidh de dh’ innealan Xilinx FPGA a stòradh
• Modhan rèiteachaidh dùbailte
♦ Rèiteachadh sreathach (suas gu 33 Mb / s)
♦ Co-shìnte (suas gu 264 Mb/s aig 33 MHz)
• Eadar-aghaidh sìmplidh ris na FPGAn Xilinx QPro
• Cascadable airson stòradh bitstreams nas fhaide no iomadach
• Programmable ath-shuidheachadh polarity (gnìomhach Àrd no gnìomhach
Ìosal) airson co-chòrdalachd le diofar fhuasglaidhean FPGA
• Pròiseas geata-fleòdraidh CMOS le cumhachd ìosal
• bholtaids solair 3.3V
• Ri fhaighinn ann am pasganan ceirmeag CK44(1)
• Taic prògramadh le prìomh phrògramadair
luchd-dèanamh
• Dealbhaich taic a' cleachdadh an ISE Foundation no ISE
Pacaidean bathar-bog WebPACK
• Glèidheadh ​​dàta beatha 20 bliadhna cinnteach


  • Roimhe:
  • Air adhart:

  • Sgrìobh do theachdaireachd an seo agus cuir thugainn e