òrdugh_bg

bathar

(Co-phàirtean dealanach) 5V927PGGI8

tuairisgeul goirid:


Mion-fhiosrachadh toraidh

Bathar Tags

Feartan toraidh

TIP TUAIRISGEADH
Roinn-seòrsa Cuairtean Amalaichte (ICn)

Cloc / Uair

Gineadairean Cloc, PLLs, Synthesizers tricead

Mfr Rataichean a 'chompanaidh Renesas Electronics America Inc
Sreath -
Pacaid Teip & Ruidhle (TR)
Inbhe toraidh Air a dhol à bith
Seòrsa Gineadair cloc
PLL Seadh le Bypass
Cuir a-steach LVTTL, Criosta
Toradh LVTTL
Àireamh de Chuairtean 1
Co-mheas - Cur a-steach: Toradh 2:4
Eadar-dhealachadh - Cur a-steach: Toradh Chan eil/Chan eil
Tricead - Max 160MHz
Divider/Iolaire Tha/Chan eil
Voltage - solar 3V ~ 3.6V
Teòthachd Obrachaidh -40 ° C ~ 85 ° C
Seòrsa stàladh Sliabh uachdar
Pasgan / Cùis 16-TSSOP (0.173 ″, leud 4.40mm)
Pacaid inneal solaraiche 16-TSSOP
Àireamh toraidh bunaiteach IDT5V927

Sgrìobhainnean & Meadhanan

GOIREASAN GHAIDHEALACH LEANNAN
Bileagan dàta IDT5V927
PCN Obsolescence/EOL Ath-sgrùdadh 23/Dùbhlachd/2013

Ioma-innealan 28/Dàmhair/2013

Duilleag-dàta HTML IDT5V927

Seòrsachadh Àrainneachdail & Às-mhalairt

ATHAIR TUAIRISGEADH
Ìre Mothachadh Taiseachd (MSL) 1 (Gun chrìoch)
Inbhe REACH REACH Gun bhuaidh
ECCN EAR99
HTSUS 8542.39.0001

Goireasan a bharrachd

ATHAIR TUAIRISGEADH
Ainmean Eile 5V927PGGI8
Pasgan àbhaisteach 4,000

Mion-fhiosrachadh toraidh
PROCESSOR SIGNAL DIGITEACH 24-BIT

Bidh an Motorola DSP56307, ball den teaghlach DSP56300 de luchd-giullachd chomharran didseatach prògramaichte (DSPn), a’ toirt taic do thagraidhean bun-structair gun uèir le gnìomhachd sìolaidh coitcheann.Bidh an coprocessor sìoltachain leasaichte air-chip (EFCOP) a’ pròiseasadh algoirmean sìoltachain aig an aon àm ri prìomh ghnìomhachd, agus mar sin ag àrdachadh coileanadh agus èifeachdas DSP san fharsaingeachd.Coltach ris na buill teaghlaich eile, bidh an DSP56307 a’ cleachdadh einnsean àrd-choileanaidh, aon-ghleoc-cearcall-gach-stiùiridh (còd a tha co-chosmhail ri prìomh theaghlach mòr-chòrdte Motorolas DSP56000), inneal gluasad baraille, seòladh 24-bit, tasgadan stiùiridh, agus rianadair ruigsinneachd cuimhne dìreach, mar a chithear ann am Figear 1. Tha an DSP56307 a’ tabhann coileanadh aig 100 millean stiùireadh (MIPS) gach diog a’ cleachdadh gleoc 100 MHz a-staigh le cridhe 2.5 bholt agus cumhachd cuir a-steach / toraidh 3.3 bholt neo-eisimeileach.

Ro-shealladh
A’ cleachdadh ailtireachd colbh ASMBL (Adhartach Bloc Modular Silicon) an dàrna ginealach, tha còig àrd-ùrlaran sònraichte (fo-theaghlaichean) anns an XC5VLX330T-3FFG1738I, an roghainn as motha a tha teaghlach FPGA sam bith a’ tabhann.Tha co-mheas eadar-dhealaichte de fheartan anns gach àrd-ùrlar gus dèiligeadh ri feumalachdan measgachadh farsaing de dhealbhaidhean loidsig adhartach.A bharrachd air an aodach loidsig àrd-choileanadh as adhartaiche, tha mòran bhlocaichean ìre siostam IP cruaidh ann an XC5VLX330T-3FFG1738I FPGAn, a’ toirt a-steach bloc cumhachdach 36-Kbit RAM / FIFOn, sliseagan 25 x 18 DSP an dàrna ginealach, Tagh teicneòlas IO le togalaichean togte ann am bacadh fo smachd didseatach, blocaichean eadar-aghaidh stòr-sioncronach Chip Sync, comas sgrùdaidh siostam,

FEARTAN
Àrd-choileanadh DSP56300 Core
● 100 millean stiùireadh gach diog (MIPS) le gleoc 100 MHz aig cridhe 2.5 V agus 3.3 VI/O
● Còd cuspair co-chòrdail ris a 'chridhe DSP56000
● Seata stiùiridh àrd co-shìnte
● Aonad loidsig àireamhachd dàta (ALU)
- Iomadaiche-cruinneachaidh co-shìnte 24 x 24-bit làn-phìob
- Gluasad baraille co-shìnte 56-bit (gluasad luath agus gnàthachadh; gineadh sruth bit agus parsadh)
- Stiùireadh ALU le cumhachan
- Taic àireamhachd 24-bit no 16-bit fo smachd bathar-bog
● Aonad smachd prògram (PCU)
- Taic còd neo-eisimeileach suidheachadh (PIC).
- A’ dèiligeadh ri modhan làn-leasaichte airson tagraidhean DSP (a’ toirt a-steach cuir dheth sa bhad)
- Rianadair tasgadan stiùiridh air-chip
- Stac bathar-cruaidh a ghabhas leudachadh air cuimhne air-chip
- Bathar-cruaidh neadachaidh DO lùban
- Briseadh fèin-thilleadh luath
● Cothrom cuimhne dìreach (DMA)
- Sia seanalan DMA a’ toirt taic do shlighean a-staigh agus a-muigh
- Gluasadan aon-, dà-, agus trì-mheudach (a’ gabhail a-steach buffering cruinn)
- Briseadh gluasaid deireadh bloc
- A’ gluasad bho loidhnichean brisidh agus gach iomall
● lùb glaiste ìre (PLL)
- A’ ceadachadh factar sgaradh cumhachd ìosal (DF) atharrachadh gun a bhith a’ call glas
- Cloc toraidh le cuir às do skew
● Taic debugging bathar-cruaidh
- modal Emulation On-Chip (Air CE ).
- Co-bhuidheann gnìomh deuchainn (JTAG) port ruigsinneachd deuchainn (TAP)
- Tha modh lorg seòlaidh a’ nochdadh ruigsinneachd RAM Prògram a-staigh aig a’ phort a-muigh


  • Roimhe:
  • Air adhart:

  • Sgrìobh do theachdaireachd an seo agus cuir thugainn e