òrdugh_bg

bathar

10AX048H2F34E2SG 100% Stoc Ùr & Tùsail fhèin Ciorram Amalaichte Teaghlach Bufair Cloc Àrd-choileanadh

tuairisgeul goirid:

Bidh teaghlach inneal Arria 10 a’ lìbhrigeadh coileanadh nas àirde na an ginealach roimhe de FPGA meadhan-raon agus àrd-ìre agus a’ tabhann èifeachdas ower a gheibhear tro sheata farsaing de theicneòlasan sàbhalaidh cumhachd.Tha na h-innealan Arria 10 air leth freagarrach airson tagraidhean àrd-choileanadh, mothachail air cumhachd, midrange ann am margaidhean eadar-mheasgte.


Mion-fhiosrachadh toraidh

Bathar Tags

Feartan toraidh

RoHS an EU Gèilleadh
ECCN (US) 3A001.a.7.b
Inbhe Pàirt Gnìomhach
HTS 8542.39.00.01
Carbadan No
PPAP No
Ainm Teaghlaich Arria® 10 GX
Teicneòlas pròiseas 20nm
Cleachdaiche I/Os 492
Àireamh Chlàran 727 160
Voltage Solarachaidh Obrachaidh (V) 0.9
Eileamaidean loidsig 48000
Àireamh de luchd-iomadachaidh 2736 (18x19)
Seòrsa cuimhne prògram SRAM
Cuimhne Embedded (Kbit) 28760
Àireamh iomlan de Bloc RAM 1438
EMACs 3
Aonadan loidsig innealan 48000
Inneal Àireamh de DLLs/PLLn 12
Sianalan Transceiver 36
Astar Transceiver (Gbps) 17.4
DSP coisrigte 1368
PCIe 2
Comas prògramachaidh Tha
Taic ath-chlàradh Tha
Dìon lethbhreac Tha
In-System Programmability Tha
Ìre Astar 2
Inbhean I/O Singilte LVTTL | LVCMOS
Eadar-aghaidh cuimhne taobh a-muigh DDR3 SDRAM | DDR4 | LPDDR3 | RLDRAM II | RLDRAM III | QDRII + SRAM
Voltage Solarachaidh Obrachaidh as ìsle (V) 0.87
Voltage solair obrachaidh as àirde (V) 0.93
Bholtaids I/O (V) 1.2 | 1.25 | 1.35 | 1.5 | 1.8 | 2.5 | 3
Teòthachd obrachaidh as ìsle (°C) 0
Teòthachd obrachaidh as àirde (°C) 100
Ìre Teòthachd solaraiche Leudaichte
Ainm malairt Arria
A' cur suas Sliabh uachdar
Àirde pacaid 2.8
Leud pacaid 35
Fad Pacaid 35
Dh'atharraich PCB 1152
Ainm pacaid àbhaisteach BGA
Pacaid Solaraiche FBGA
Cunnt pin 1152
Cruth luaidhe Ball

Ro-ràdh Bathar

Bidh innealan Intel Arria 10 a’ cleachdadh ALM 20 nm mar bhloc togail bunaiteach an t-aodach loidsig.Tha ailtireachd ALM an aon rud ris na FPGAn ginealach roimhe, a’ ceadachadh gnìomhan loidsig a chuir an gnìomh gu h-èifeachdach agus tionndadh furasta de IP eadar ginealaichean innealan.Bidh an ALM a’ cleachdadh clàr seallaidh briste 8-cuir a-steach (LUT) le ceithir clàran sònraichte gus cuideachadh le bhith a’ leasachadh dùnadh ùine ann an dealbhaidhean làn chlàran agus a’ coileanadh comas pacaidh dealbhaidh eadhon nas àirde na an dà chlàr traidiseanta airson gach ailtireachd LUT.

Feartan toraidh

Transceivers sreathach cumhachd ìosal

• Raon obrachaidh leantainneach:

- Intel Arria 10 GX - 1 Gbps gu 17.4 Gbps
- Intel Arria 10 GT - 1 Gbps gu 25.8 Gbps
• Backplane taic:
- Intel Arria 10 GX - suas gu 12.5
- Intel Arria 10 GT - suas gu 12.5
• Raon leudaichte sìos gu 125 Mbps le oversampling
• ATX tar-chuir PLLn le comas synthesis bloigh a ghabhas rèiteachadh leis a’ chleachdaiche
• Taic Dìolaidh Sgaoileadh Dealanach (EDC) airson XFP, SFP+, QSFP, agus CFP optigeach
modal
• Co-ionannachd fios-air-ais co-dhùnaidh agus sreathach freagarrach
• Ro-chuideam tar-chuir agus dì-chuideam
• Ath-dhealbhadh pàirt fiùghantach de shianalan transceiver fa leth

  • Roimhe:
  • Air adhart:

  • Sgrìobh do theachdaireachd an seo agus cuir thugainn e